24.03.2013 Views

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Digital timing verification<br />

The verification of digital timing paths inside mixed-signal blocks is problematic in traditional netlist-driven flows.<br />

Typically, digital implementation tools extract path parasitics only to the analog IP block’s instance pin, and any<br />

loading inside the block is modeled by a .lib file (Figure 7, left). Generating that .lib file amounts to a generally<br />

painful experience <strong>for</strong> analog designers.<br />

A better approach provided by the Encounter Digital <strong>Implementation</strong> system involves extracting full-path parasitics<br />

all the way to the digital instance pin (Figure 7, right). The tool models the loading of the digital path inside a<br />

mixed-signal block as a distributed RC network. Internal loading is thus appended to the extracted path, and<br />

static timing analysis can verify the complete path with no need to generate a .lib file.<br />

Digital<br />

I1 I2<br />

Path parasitics<br />

extracted to<br />

AMS instance pin<br />

Digital cell library instances<br />

AMS AMS Partition .lib<br />

Towards a new mixed-signal implementation flow<br />

Digital<br />

I1<br />

Extract full path<br />

parasitics to digital<br />

instance pin<br />

Figure 7: Extracting full-path parasitics allows timing closure on<br />

mixed-signal blocks without requiring generation of a .lib file.<br />

<strong>Solutions</strong> <strong>for</strong> <strong>Mixed</strong>-<strong>Signal</strong> <strong>SoC</strong> <strong>Implementation</strong><br />

I2<br />

AMS Partition<br />

The schematic-driven and netlist-driven flows are block-based approaches that involve the import of one type of<br />

block into a larger analog or digital environment. The emerging mixed-signal flow represents a much more integrated<br />

methodology. While the new flow retains separate analog and digital design teams and tools, responsibility <strong>for</strong> the<br />

overall design, verification and chip tapeout is shared.<br />

One big advance with this new approach is that analog and digital blocks can be designed concurrently. Floorplanning<br />

can be truly mixed-signal, with flexibility to assign or optimize pins. With flexible pinouts, designers do not need to<br />

send analog or digital blocks back <strong>for</strong> rework if they find that fixed pinouts don’t work in a floorplan. Results can include<br />

earlier floorplanning, smaller area, less routing congestion, earlier chip finishing, and faster overall turn-around times.<br />

Figure 8 shows an advanced mixed-signal implementation flow. Notice how the top-level floorplan becomes a joint<br />

exercise between analog and digital design groups. These teams concurrently optimize the floorplan, changing<br />

pinouts and locations and routing nets as needed, until they can both sign off on the floorplan. Each team must be<br />

aware of the constraints on the other side.<br />

www.cadence.com 8

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!