24.03.2013 Views

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

Solutions for Mixed-Signal SoC Implementation - Cadence Design ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

When analog blocks are imported into a largely digital <strong>SoC</strong> design, the blocks are typically black boxes that give<br />

digital designers no visibility into the layouts. The analog blocks typically have fixed guard rings and pinouts. The<br />

hardened IP leads to a lack of flexibility in floorplanning, resulting in a less than optimal floorplan.<br />

The <strong>SoC</strong> integrator has to assume that the analog designer adequately verified the block. It is possible, <strong>for</strong> instance,<br />

to get all the way to design rule checking and find out that a control signal is inverted because the block was never<br />

timed or simulated. Even if all the digital and analog blocks are thoroughly verified at the block level, chip-level<br />

verification across analog and digital interfaces is still very challenging.<br />

Analog designers who import digital blocks face a number of challenges as well. Digital blocks may have pinouts<br />

that are suboptimal in an analog/mixed-signal floorplan. Digital circuitry can cause noise and signal integrity<br />

problems, requiring analog designers to use adequate shielding. Large amounts of simultaneously switching digital<br />

circuitry can cause noise that can get into the substrate and be transmitted throughout the design, resulting in<br />

oscillations and voltage noise in sensitive analog circuitry. Noise can also be transmitted around a chip via the<br />

power routes, the package and the substrate.<br />

The traditional mixed-signal implementation flow results in frequent engineering change orders (ECOs). Analog<br />

and digital design teams may have to go back and <strong>for</strong>th to iteratively change pinouts, floorplans, physical layouts,<br />

and other design attributes to satisfy the constraints and per<strong>for</strong>mance demands of both analog and digital circuitry.<br />

Late-stage ECOs may even <strong>for</strong>ce a redo of chip assembly and chip finishing.<br />

Steps Towards an Integrated Solution<br />

Analog and digital design requirements, methodologies and skill sets are fundamentally different, and design<br />

teams are used to their respective tool environments. A single GUI/interface that handles both analog and digital<br />

design may never be practical, because it <strong>for</strong>ces one, or both, teams away from their proven environment. What<br />

is possible and needed, however, is a planning-to-signoff methodology and solution <strong>for</strong> the design, analysis and<br />

verification of mixed-signal <strong>SoC</strong>s that enables easy and efficient interaction between the analog and digital teams.<br />

The solution needs to support <strong>SoC</strong> integration with large analog, digital and mixed-signal IP blocks.<br />

From a physical implementation perspective, the solution needs to handle system-level design, block-level design,<br />

chip assembly, physical verification, and system verification. Figure 2 shows some of the components of a mixedsignal<br />

implementation system.<br />

System-Level <strong>Design</strong><br />

Block-Level <strong>Design</strong><br />

Chip Assembly<br />

Physical Verification<br />

System Verification<br />

Functional <strong>Design</strong> and Verification<br />

RTL <strong>Design</strong> and<br />

Verification<br />

Synthesis and<br />

Verification<br />

Place and<br />

Route<br />

Chip Planning<br />

DRC, LVS, RCX<br />

Chip Assembly<br />

<strong>Design</strong> and<br />

Analysis<br />

Circuit<br />

Simulation<br />

Custom Layout<br />

Full Chip Physical Verification,<br />

Extraction, and Analysis<br />

Full Chip System-Level Verification<br />

Analog, Digital, RF<br />

Figure 2: Components of a mixed-signal design solution<br />

<strong>Solutions</strong> <strong>for</strong> <strong>Mixed</strong>-<strong>Signal</strong> <strong>SoC</strong> <strong>Implementation</strong><br />

www.cadence.com 3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!