24.03.2013 Views

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Leveraging <strong>Assertion</strong>s In an ADC<br />

Capturing p gSpecification p of Sequential q Behavior<br />

ADC’s, DAC’s, Switch Cap Filters, Serdes good candidates<br />

◦ Specification of circuits contains sequential behavior<br />

ADC example the following behavior is verified<br />

◦ Integrator behavior<br />

◦ Comparator Operations<br />

◦ Loop Stability Fundamentals<br />

ADC Architecture Representation<br />

Sigma Delta Architecture<br />

◦ Modulator and Filter<br />

Modulator with Integrator<br />

Feedback<br />

Filter<br />

11/12/2010<br />

9

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!