24.03.2013 Views

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

SystemVerilog Cross Domain Connectivity<br />

SystemVerilog testbench driven methodology<br />

◦ 3 classes of connectivity supported:<br />

Data and net types between Verilog‐2001 and Verilog‐AMS objects<br />

SV Real Variable to Verilog‐AMS Electrical –insertion of E2R connect<br />

module<br />

SV Real Variable to Verilog‐AMS WReal – direct connection by<br />

`include "discipline.h"<br />

module ams_src(e);<br />

output e;<br />

electrical e;<br />

analog V(e) 0.5;<br />

endsequence<br />

sva_opcheck1 : assert property (@(posedge clk) S1);<br />

`include "discipline.h"<br />

module ams_dest(w);<br />

input w;<br />

wreal w;<br />

initial<br />

$display("%M: %f", w);<br />

endmodule<br />

November 12, 2010 <strong>Cadence</strong><br />

Confidential: <strong>Cadence</strong> Internal Use<br />

Only 15<br />

November 12, 2010 <strong>Cadence</strong><br />

Confidential: <strong>Cadence</strong> Internal Use<br />

Only 16<br />

11/12/2010<br />

8

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!