24.03.2013 Views

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Analog Effects Suitable for Methodology<br />

<strong>Cadence</strong> RFKit<br />

•Implemented Implemented Functional<br />

<strong>Verification</strong> <strong>Design</strong> Flow<br />

<strong>Cadence</strong> RFKit<br />

•Functional verification<br />

models<br />

•<strong>Assertion</strong>s applied to<br />

blocks<br />

Analog Effects Suitable for <strong>Assertion</strong>s<br />

RFKit Application of <strong>Assertion</strong>s<br />

<strong>Assertion</strong>s go with block for validation while blocks integrated<br />

<strong>Assertion</strong>s leveraged in RFKit<br />

◦ Power supply and ground variation<br />

◦ Power down signal change<br />

◦ Supply resistance connected to supply changes<br />

◦ Gain variation at the output as the gain control voltage changes<br />

11/12/2010<br />

4

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!