24.03.2013 Views

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Analog Effects Into Logic <strong>Verification</strong><br />

On Team Enables That Today<br />

<strong>Verification</strong> Team<br />

<strong>Mixed</strong>-signal <strong>Verification</strong><br />

Specification<br />

<strong>Mixed</strong>-signal <strong>Verification</strong><br />

Model Development<br />

Top Level<br />

Test Bench<br />

Validation<br />

Release to Foundry<br />

Simulation Plan<br />

Top Level Test Bench<br />

Agree?<br />

Agree?<br />

A Agree? ?<br />

Template<br />

GUI<br />

<strong>Design</strong> Team<br />

<strong>Design</strong><br />

Specification<br />

Detailed Circuit<br />

<strong>Design</strong><br />

Full Chip<br />

<strong>Design</strong><br />

Analog Effects Into Logic <strong>Verification</strong><br />

Different Input and Analysis<br />

Templates / <strong>Assertion</strong>s / Multiple Multiple Disciplines Disciplines<br />

Model Code<br />

w/assertions<br />

November 12, 2010 <strong>Cadence</strong><br />

Confidential 5<br />

<strong>Assertion</strong><br />

Diagnostic<br />

Log file<br />

<strong>Cadence</strong> Confidential: <strong>Cadence</strong><br />

Internal Use Only 6<br />

11/12/2010<br />

3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!