24.03.2013 Views

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

Mixed Signal Assertion Based Verification - Cadence Design Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

ADC PSL Checking Basic Functionality<br />

Integrator Functionality<br />

◦ The sign of the integrator preserved positive cycle<br />

and negative cycle<br />

◦ First assertions leverages internally generated<br />

VerilogAMS values<br />

ADC PSL Checking Sequential Bit Patterns<br />

Modulator Stability<br />

◦ Checking for the presence of undesirable bit<br />

patterns<br />

◦ Repeating bit patterns leading to audible<br />

tones/clicks<br />

11/12/2010<br />

10

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!