Damage formation and annealing studies of low energy ion implants ...

Damage formation and annealing studies of low energy ion implants ... Damage formation and annealing studies of low energy ion implants ...

usir.salford.ac.uk
from usir.salford.ac.uk More from this publisher
23.03.2013 Views

List of Tables Table 1.1 Parameters from 2003 ITRS. Table 3.1 Regrowth rates for intrinsic and 2E14 cm -2 As doped Si, for various temperatures. Table 4.1 Values of the kinematic factor for He ions using the [īīı] direction on the inward path and the [332] and [111] directions on the outward path. The corresponding depth resolutions are given for a 100 keV He. Table 6.1 Comparison of layer thicknesses between MEIS and SR on the PAI samples. Table 6.2 As dose visible in MEIS, for crystalline and PAI samples implanted at 1 keV. Table 6.3 Depths of the a/c interfaces and the amount of As visible in MEIS for the isothermal anneal series. vi

List of Figures Figure 1.1 a) Schematic of a MOS transistor. b) XTEM image of an AMD optimised transistor with a gate length of 35 nm. Figure 1.2 Number of transistors on Intel Microprocessors. Figure 1.3 Roadmap for device shrinkage at AMD with XTEM of test structures. Figure 2.1 Scattering into a solid angle. Figure 2.2 Elastic scattering configuration. Figure 2.3 Electronic stopping powers for H and He ions in Si. The positions corresponding to the Thomas Fermi velocity are indicated, along with the energy regimes where different models for the stopping powers are applicable. Figure 2.4 Electronic and Nuclear stopping powers for He and As in Si. The energy regimes used in MEIS and for the implants are indicated. Figure 2.5 Results of TRIM simulations showing the trajectories of 20 a) 3 keV As ions and b) 3 keV B ions, implanted into Si. Figure 3.1 Si unit cell. Figure 3.2 Si (100), (110) and (111) planes. Figure 3.3 Schematic of different defect types, i.e. a) vacancy, b) di-vacancy, c) self interstitial, d) interstitialcy, e) impurity interstitial, f) substitutional impurity, g) impurity vacancy pair, h) impurity self interstitial pair. Figure 3.4 Structure of crystalline Si (left) showing the 6 ring structure and amorphous Si (right) where the 5 and 7 ring structure is visible. Figure 3.5 Illustration of location of damage formed by a) heavy and b) light ions Figure 3.6 SPER rates for Si (100), (110) and (111). Figure 3.7 Schematic representation of SPER of Si(100) in terms of kink (BB’) generation and motion along [110] ledges (AA’). Figure 3.8 The relationship between the damage density distribution (solid lines) and amorphisation threshold (dashed line) leading to the different categories of defect. Figure 3.9 TEM images of defects present in the EOR damage area of ion implanted Si. These are clusters, {113}s, PDLs, and FDLs. Figure 3.10 Structure of a) faulted dislocation loops and b) perfect dislocation loops. Faulted dislocation loops contain a {111} stacking fault. vii

List <strong>of</strong> Tables<br />

Table 1.1 Parameters from 2003 ITRS.<br />

Table 3.1 Regrowth rates for intrinsic <strong>and</strong> 2E14 cm -2 As doped Si, for various<br />

temperatures.<br />

Table 4.1 Values <strong>of</strong> the kinematic factor for He <strong>ion</strong>s using the [īīı] direct<strong>ion</strong> on the<br />

inward path <strong>and</strong> the [332] <strong>and</strong> [111] direct<strong>ion</strong>s on the outward path. The<br />

corresponding depth resolut<strong>ion</strong>s are given for a 100 keV He.<br />

Table 6.1 Comparison <strong>of</strong> layer thicknesses between MEIS <strong>and</strong> SR on the PAI<br />

samples.<br />

Table 6.2 As dose visible in MEIS, for crystalline <strong>and</strong> PAI samples implanted at<br />

1 keV.<br />

Table 6.3 Depths <strong>of</strong> the a/c interfaces <strong>and</strong> the amount <strong>of</strong> As visible in MEIS for the<br />

isothermal anneal series.<br />

vi

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!