23.03.2013 Views

Damage formation and annealing studies of low energy ion implants ...

Damage formation and annealing studies of low energy ion implants ...

Damage formation and annealing studies of low energy ion implants ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

theory predict<strong>ion</strong>s <strong>and</strong> X-ray fluorescence (XRF) <strong>studies</strong> (8, 9). Broadening <strong>of</strong> the<br />

MEIS peak may also occur since MEIS samples a large area <strong>of</strong> the samples over which<br />

there may be a distribut<strong>ion</strong> <strong>of</strong> depths <strong>of</strong> the SiO2 layer. The small shift <strong>of</strong> the As peak to<br />

increased depth is consistent with a small growth in the thickness <strong>of</strong> the oxide layer<br />

during <strong>annealing</strong> at higher temperatures which pushes the segregated As layer in. Spike<br />

<strong>annealing</strong> at 1050 °C finally, results in the highest level <strong>of</strong> damage <strong>annealing</strong> as<br />

indicated by the <strong>low</strong>est dechannelling level. The increase in the width <strong>of</strong> the damage<br />

peak compared to the 700 °C anneal is entirely accounted for by the growth <strong>of</strong> the oxide<br />

layer to 2.4 nm. Spike <strong>annealing</strong> also leads to the highest fract<strong>ion</strong> <strong>of</strong> substitut<strong>ion</strong>al As<br />

atoms being accommodated in the regrown layer, leaving only 40 % <strong>of</strong> the implanted<br />

As, the amount that can be contained in one monolayer (ML) i.e. 7E14 cm -2 , visible in<br />

the segregated layer. Observat<strong>ion</strong>s <strong>of</strong> such high levels <strong>of</strong> segregated As dopant in a very<br />

shal<strong>low</strong> layer have been reported previously (10).<br />

Returning briefly to the movement <strong>of</strong> As atoms into substitut<strong>ion</strong>al sites upon<br />

SPER regrowth, this observat<strong>ion</strong> is consistent with activat<strong>ion</strong> <strong>and</strong>/or the <strong>format<strong>ion</strong></strong> <strong>of</strong> an<br />

inactive As-vacancy cluster, AsnV (n ≤ 4) (11). For n = 4 the cluster consists <strong>of</strong> four<br />

substitut<strong>ion</strong>al As atoms tetragonaly clustered around a vacancy that accommodates the<br />

larger dopant size. The <strong>format<strong>ion</strong></strong> <strong>of</strong> AsnV clusters is accompanied by the eject<strong>ion</strong> <strong>of</strong> Si<br />

interstitials (10) that migrate <strong>and</strong> are trapped at the oxide/Si interface sink (12), <strong>and</strong><br />

their accumulat<strong>ion</strong> contributes to the growth in depth <strong>of</strong> an amorphous layer <strong>and</strong> thus,<br />

the width <strong>of</strong> the surface peak. This is the second effect, referred to above that may<br />

explain the increase in width <strong>of</strong> this peak upon RTA.<br />

The <strong>annealing</strong> <strong>and</strong> segregat<strong>ion</strong> behaviour described above in Figure 6.1 is<br />

confirmed by SIMS depth pr<strong>of</strong>iling measurements. The results are given in Figure 6.2<br />

which shows the pr<strong>of</strong>iles using both logarithmic <strong>and</strong> linear concentrat<strong>ion</strong> scales. The<br />

Si 30 signal (3.09% abundance, multiplied by 32 to give ~ 100 % Si) is shown in Figure<br />

6.2 for the as-implanted pr<strong>of</strong>ile only. It was monitored in all cases <strong>and</strong> found to coincide<br />

to within 1 %, confirming the current stability <strong>of</strong> the measurements. The excellent<br />

quality <strong>of</strong> SIMS pr<strong>of</strong>ile <strong>of</strong> the as implanted sample may be judged in comparison with<br />

the MEIS result also shown in the bottom part <strong>of</strong> the figure. Only the marginally<br />

increased FWHM (∼ 10 %) in the SIMS pr<strong>of</strong>ile <strong>and</strong> minor shift (< 0.5 nm) towards the<br />

surface reflect collis<strong>ion</strong>al mixing effects <strong>and</strong> sputter rate changes in the transient reg<strong>ion</strong>,<br />

respectively. The development <strong>of</strong> the SIMS pr<strong>of</strong>iles fol<strong>low</strong>ing <strong>annealing</strong> wholly<br />

confirms the MEIS observat<strong>ion</strong>s. Integrat<strong>ion</strong> <strong>of</strong> the pr<strong>of</strong>iles shows no As dose loss <strong>and</strong><br />

hence the As invisible in MEIS can only represent dopant atoms that have moved into<br />

122

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!