Damage formation and annealing studies of low energy ion implants ...

Damage formation and annealing studies of low energy ion implants ... Damage formation and annealing studies of low energy ion implants ...

usir.salford.ac.uk
from usir.salford.ac.uk More from this publisher
23.03.2013 Views

6.2 Studies involving RTA of implanted samples, conditions similar to current device production 6.2.1 Introduction In this section there is a discussion of the results of several studies involving RTA of As implanted samples. The first study is used to introduce the way in which phenomena such as SPER, the segregation of As, As moving into substitutional positions and diffusion of dopant can be studied with MEIS and SIMS. The two techniques provide complementary information and form the backbone of these studies. Similar studies were carried out in which pre-amorphising with a Xe implant was introduced and the effects of changing the implantation energy are studied. These are coupled with studies using X-ray techniques. 6.2.2 RTA of 2.5 keV As implanted samples This first set of samples has no pre-amorphisation and uses an As implant that is similar in energy and dose to those used in current technology. The samples are annealed with a range of temperatures and times to illustrate different aspects of the annealing behaviour, including a > 1000 °C spike anneal which is typical of device production. Results of these studies are also presented in (4,5). 6.2.2.1 Experimental 2.5 keV As + ion implants into Cz grown Si(100) samples of resistivity 10 – 20 Ω.cm were performed at room temperature, under UHV conditions using the ultra-low energy ion implanter at Salford to a dose of 1.8 × 10 15 cm -2 . All implants were executed through the native oxide using dose rates of up to 1 × 10 14 ions sec -1 cm -2 . Samples were annealed in a Steag AST10 rapid thermal processor at Salford to temperatures of up to 1050 °C, using ramp rates of 25 °C s -1 in a N2/6% O2 ambient to avoid dose loss. MEIS analysis was carried out using a nominally 200 keV He + beam and the double alignment configuration, in which the [ 1 1 1] channelling direction was combined with the [332] blocking direction. Implanted As and displaced Si profiles can be detected down to levels of 1 × 10 19 cm -3 and 2 × 10 20 cm -3 , respectively. SIMS analysis of the As profiles was carried out in an Atomika 4500 instrument at IMEC using a 0.5 keV 02 + primary beam at normal incidence. Crater size was 250 µm and Si 30 was monitored to ascertain a better than 1% current stability. The depth scale calibration relied on a constant ion current. Relative sensitivity factors (RSF, from an implant standard) were repeatable to within 1%. 119

6.2.2.2 Results and Discussion Figure 6.1 shows the MEIS energy spectra for 2.5 keV As ions, as-implanted at 1.8 × 10 15 cm -2 and after RTA at 600 °C for 10 s, 700 °C for 10 s, 900 °C for 10 s and 1050 °C spike annealing. Depth scales have been added to the figure for As, Si and O. The as-implanted sample shows that the 2.5 keV As implant amorphises the Si to ~ 9.5 nm, (half height of the back edge of the damage peak). The As profile is centred around 5 – 6 nm. Note that all the Si damage peaks include a contribution from the surface peak (FWHM 2 nm). The annealing behaviour is best introduced by first considering the sample annealed at 600 °C for 10s. The annealing produces a reduction in the width of the amorphous layer, from 9.5 nm to 6.5 nm (FWHM), due to solid phase epitaxial regrowth (SPER). An average regrowth rate of ∼ 0.3 nm s -1 is obtained in this region in which the interface moved through a region with a high As concentration. This value compares to a value of 1 nms -1 found for intrinsic Si (6, 7). It is known that regrowth rate is dopant concentration dependent and that a high As concentration causes a reduction in the regrowth rate (6, 7, 28). Regrowth rates with a changing of As concentration are examined in more detail in section 6.3.2.1. MEIS shows that during annealing there is a close correlation between the reduction in the width of the Si damage peak and the movement of the As implant. The 600 °C anneal has also caused a distinct change in the As distribution. The half height of the back edge has moved to a depth of 6.5 nm which coincides with the depth of the crystalline/amorphous interface. It also shows that the As yield in front of this interface has increased by about 20%. This increase is due to As atoms that apparently could not be accommodated in the regrown layer, and therefore segregates out and are “snowploughed” in front of the advancing interface (7). Overall the As peak area measured by MEIS is reduced by 12 %. SIMS measurements, discussed later, show the retention of all the implanted As atoms. Hence the “missing” As atoms have moved into substitutional positions where they are no longer visible to the analysing MEIS beam. 120

6.2.2.2 Results <strong>and</strong> Discuss<strong>ion</strong><br />

Figure 6.1 shows the MEIS <strong>energy</strong> spectra for 2.5 keV As <strong>ion</strong>s, as-implanted at<br />

1.8 × 10 15 cm -2 <strong>and</strong> after RTA at 600 °C for 10 s, 700 °C for 10 s, 900 °C for 10 s <strong>and</strong><br />

1050 °C spike <strong>annealing</strong>. Depth scales have been added to the figure for As, Si <strong>and</strong> O.<br />

The as-implanted sample shows that the 2.5 keV As implant amorphises the Si to ~ 9.5<br />

nm, (half height <strong>of</strong> the back edge <strong>of</strong> the damage peak). The As pr<strong>of</strong>ile is centred around<br />

5 – 6 nm. Note that all the Si damage peaks include a contribut<strong>ion</strong> from the surface peak<br />

(FWHM 2 nm).<br />

The <strong>annealing</strong> behaviour is best introduced by first considering the sample<br />

annealed at 600 °C for 10s. The <strong>annealing</strong> produces a reduct<strong>ion</strong> in the width <strong>of</strong> the<br />

amorphous layer, from 9.5 nm to 6.5 nm (FWHM), due to solid phase epitaxial<br />

regrowth (SPER). An average regrowth rate <strong>of</strong> ∼ 0.3 nm s -1 is obtained in this reg<strong>ion</strong> in<br />

which the interface moved through a reg<strong>ion</strong> with a high As concentrat<strong>ion</strong>. This value<br />

compares to a value <strong>of</strong> 1 nms -1 found for intrinsic Si (6, 7). It is known that regrowth<br />

rate is dopant concentrat<strong>ion</strong> dependent <strong>and</strong> that a high As concentrat<strong>ion</strong> causes a<br />

reduct<strong>ion</strong> in the regrowth rate (6, 7, 28). Regrowth rates with a changing <strong>of</strong> As<br />

concentrat<strong>ion</strong> are examined in more detail in sect<strong>ion</strong> 6.3.2.1.<br />

MEIS shows that during <strong>annealing</strong> there is a close correlat<strong>ion</strong> between the<br />

reduct<strong>ion</strong> in the width <strong>of</strong> the Si damage peak <strong>and</strong> the movement <strong>of</strong> the As implant. The<br />

600 °C anneal has also caused a distinct change in the As distribut<strong>ion</strong>. The half height<br />

<strong>of</strong> the back edge has moved to a depth <strong>of</strong> 6.5 nm which coincides with the depth <strong>of</strong> the<br />

crystalline/amorphous interface. It also shows that the As yield in front <strong>of</strong> this interface<br />

has increased by about 20%. This increase is due to As atoms that apparently could not<br />

be accommodated in the regrown layer, <strong>and</strong> therefore segregates out <strong>and</strong> are<br />

“snowploughed” in front <strong>of</strong> the advancing interface (7). Overall the As peak area<br />

measured by MEIS is reduced by 12 %. SIMS measurements, discussed later, show the<br />

retent<strong>ion</strong> <strong>of</strong> all the implanted As atoms. Hence the “missing” As atoms have moved into<br />

substitut<strong>ion</strong>al posit<strong>ion</strong>s where they are no longer visible to the analysing MEIS beam.<br />

120

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!